一文看懂,用示波器查看串口UART的波形,什么是数据位、起始位、奇偶校验位

先介绍一些概念。

起始位:先发出一个逻辑”0”的信号,表示传输数据的开始。

校验位:数据位加上这一位后,使得“1”的位数应为偶数(偶校验)或奇数(奇校验),以此来校验数据传送的正确性。就比如传输“A”(01000001)为例。 (字符‘A’的二进制是 0100 0001)

  1. 当为奇数校验:”A”字符的8个bit位中有两个1,那么奇偶校验位为1才能满足1的个数为奇数(奇校验)。
  2. 当为偶数校验:”A”字符的8个bit位中有两个1,那么奇偶校验位为0才能满足1的个数为偶数(偶校验)。 

此位还可以去除,即不需要奇偶校验位。 
停止位:它是一帧数据的结束标志。可以是1bit、1.5bit、2bit的空闲电平。可能大家会觉得很奇怪,怎么会有1.5位~没错,确实有的。所以我在生产此uart信号时用两个波形点来表示一个bit。这个可以不必深究。。。 


空闲位:没有数据传输时线路上的电平状态。为逻辑1。 
传输方向:即数据是从高位(MSB)开始传输还是从低位(LSB)开始传输。比如传输“A”(字符‘A’的二进制是 0100 0001)

如果是MSB那么就是0100 0001(如图-2),如果是LSB那么就是10000010


uart传输数据的顺序就是:刚开始传输一个起始位——传输数据位——校验位(可不需要此位)——停止位。

这样一帧的数据就传输完了。接下来

你真的了解串口吗(示波器串口波形分析)
东小东博客
12-20 2706
串口是最常用的外设了,串口基本都是单片机的标配。串口通信只需要3条线组成,分别为RX、TX、GND。下面将重点分析串口数据帧组成。 一、 串口通信帧 串口通信帧数据如此,每帧由空闲起始数据位、校验、停止组成 传输的数据是低在前高在后 l 空闲: 串口TX或RX数据线上没有传输任何数据时,则该线处于为空闲状态。空闲是TX和RX都是处于高电平。 l 起始: 标识数据起始,由一个逻辑0(低电平)的数据位表示。 l 数据位: 可以选择的值有5,6,7,8这四个值...
串口通信的校验---奇偶校验,0校验,1校验
weixin_43554366的博客
08-06 1万+
捕获.PNG 设置为奇校验,先看发送方将要发送的一帧数据中有几个1,如果是偶数个1则校验置1,保证1的个数是奇数。如果是奇数就置0。保证是奇数后发送给接收方,接受方此时要检查发送的数据位是否有误,它检查的方法就是看接受的数据里1的个数,如果发现1的个数是偶数,再看看发送来的校验是1且模式是奇校验,偶数+1=奇数,判断数据是正确。 例:发送的...
使用示波器通过串口抓取数据波形
最新发布
weixin_58061628的博客
02-22 1318
当我们在软件上发送一个数据,需要在示波器上正确的显示发送数据的波形时,这个过程是需要按照软件上串口配置的参数对示波器进行调节的,本次使用的示波器(型号为RIGOL DS1102Z-E)外观如下图所示,本次对采集波形的调节主要用到以下几处按钮。具体是两条导线T+连接R+、T-连接R-,连接完毕后,打开XCOM软件,首先配置好参数后打开串口,如下所示,若在发送窗口发送数据在接收窗口可以实时显示到的话,证明串口的收发功能是正常的。1.CH1、CH2是示波器上的两个采集通道,本次波形采集使用的是CH1通道。
uart.rar_cpld uart_uart vhdl cpld_uart验证_串口通信 波特率
07-15
本模块的功能是验证实现和PC机进行基本的串口通信的功能。需要在PC机上安装一个串口调试工具来验证程序的功能。 程序实现了一个收发一帧10个bit(即无奇偶校验)的串口控制器,10个bit是1起始,8个数据位,1个结束串口的波特律由程序中定义的div_par参数决定,更改该参数可以实现相应的波特率。程序当前设定的div_par 的值是0x104,对应的波特率是 --9600。用一个8倍波特率的时钟将发送或接受每一bit的周期时间划分为8个时隙以使通信同步.程序的工作过程是:串口处于全双工工作状态,按动key2,CPLD向PC发"welcome"字符串(串口调试工具设成按ASCII码接受方式);PC可随时向CPLD发送0-F的十六进制数据,CPLD接受后显示在7段数码管上。
基于FPGA的任意字节数的UART串口)接收工程源码
02-04
基于Intel(Altera)的Quartus II平台FPGA的任意字节数的UART串口)接收工程源码: 1、详细的仿真TB文件; 2、单字节 起始1bit,数据位8bit,停止1bit,无奇偶校验; 3、通过参数化设置,可实现任意字节数的UART接收 4、详细的说明文件请参考本人博文《https://wuzhikai.blog.csdn.net/article/details/126229191》。
exp_micro_s.rar_4 3 2 1_UART MODELSIM_UART 波形_exp with vhdl _ex
09-14
自己在QuartusII9.1及Modelsim新版本中完成的microsequencer实例的工程文件。 1.echo uart,接收rx_data,再回复! 2.运行时请注意完整路径: D:\EXP\EXP_SOPCbuilder\exp_micro_s 3.UART数据输入问题? 3.1 MODELSIM中w完信号后,run/restart一次。 3.2 设置clock=20ns。 3.3 命令行中输入uart_drive调出uart_in.log窗口。 +号后,输入LOVE CHINA! 3.4 run 1ms.看波形结果。 3.5 quit -f。 ZHJ 2009/11/9 晚
UART数据波形分析
12-07
本文通过对异步串行数据格式的分析,阐述通过波形分析方法调试UART 数据 收发的原理和方法。
用逻辑分析仪观察串口Uart数据波形
wanglong3713的博客。
11-02 1315
只讨论嵌入式编程中较为常用的异步串行接口(Universal Asynchronous Receiver/Transmitter, UART),TTL电平。串口的参数一般有:1.,数据传输速率,单bps(bits per second),即每秒传输的数,常见的波特率有9600bps、19200bps、115200bps等;如果波特率为115200bps,则传输一个bit的时间是1/115200s≈8.68us;2.
奇偶检验等N、O、E、M、S 五种串口检验类型
chen793991833的博客
04-24 2万+
例:数据‘1111 0000’ 已有的‘1’为偶数个,为了确保所有数中‘1’的个数为奇数,就要让校验为‘1’ 整体为 ‘1111 0000 1’例:数据‘1110 0000’ 已有的‘1’为奇数个,为了确保所有数中‘1’的个数为偶数,就要让校验为‘1’ 整体为 ‘1110 0000 1’例: 数据‘1111 000’ 偶数个‘1’ 所以添加校验为‘1’ 整体为‘1111 0000 1’例:数据‘1111 0000’ 已经有偶数个‘1’ 所以校验为‘0’ 整体为‘1111 0000 0’
【转】uart中:起始,停止,就校验数据位数据位数,波特率,数据通讯的接口定义...
a512977208的博客
07-17 1100
转自:http://hi.baidu.com/liang888%BA%C3/blog/item/38ad3351defc6711377abec2.html 起始:先发出一个逻辑”0”信号,表示传输字符的开始。 资料:紧接着起始之后。资料的个数可以是4、5、6、7、8等,构成一个字符。通常采用ASCII码。从最低开始传送,靠时钟定奇偶校验:资料加上这一后...
UART串口校验方式(无校验、奇偶校验、固定校验)
热门推荐
Rocherrr的博客
06-08 2万+
串口通信过程中有五种校验方式:奇校验(ODD)、偶校验(EVEN),1校验(MARK) 、 0校验(SPACE),无校验(NONE)。
串口UART)的FPGA实现工程源码
02-04
基于Intel(Altera)的Quartus II平台的串口UART)的FPGA实现工程源码: 1、包括接收部分 + 发送部分; 2、包含详细的仿真TB文件; 3、起始1bit,数据位8bit,停止1bit,无奇偶校验; 4、详细的说明文件请参考本人博文《https://wuzhikai.blog.csdn.net/article/details/114596930》。
UART实际波形解析
09-23
接口技术的一些说明,和自己的一些感悟,也没有什么要紧的,就是自己写着玩,也不要下载费,新人教程吧,非要我写够五十个字,好烦,我只是想上传一个东西而已,真麻烦,我自己看不行嘛,浪费我的时间哎
Uart.rar_UART波形_site:www.pudn.com_波形
09-19
单片机串口数据采集,主要是测电压,并在上机上显示出波形
基于Nios II与LabVIEW的任意波形发生器实现
10-26
以Altera公司的EP1C12芯片为SOPC系统的载体,介绍了基于嵌入式软核处理器Nios II的DDS任意波形发生器的设计和实现。
FPGA纯逻辑端的串口自编译代码IP:yueyin-uart-ip
06-19
yueyin_uart_ip是月隐编写的串口IP,实现串口的底层收发时序,可灵活设置奇偶校验数据位宽等参数,收发指示信号明显好用。 串口的协议为:1bit起始,data W(8) bit 数据位,1bit停止。 具体的串口协议...
基于FPGA的任意字节数的UART串口)发送工程源码
02-04
2、单字节 起始1bit,数据位8bit,停止1bit,无奇偶校验; 3、通过参数化设置,可实现任意字节数的UART发送; 4、详细的说明文件请参考本人博文《https://wuzhikai.blog.csdn.net/article/details/126093301》。
PT2262 433MHZ超再生遥控电路如何用STM软解码代替TP2272(省去PT2272)
清风明月的专栏
06-06 6019
http://www.51hei.com/bbs/dpj-44384-1.html如果采用软件解码,就可以省去PT2272这个芯片了。用软件编程的方法解码,必须知道该编码芯片的编码格式。比如PT2262发出的编码由地址码、数据码、同步码组成。地址码和数据码都用宽度不同的脉冲来表示,两个窄脉冲表示“0”;两个宽脉冲表示“1”;一个窄脉冲和一个宽脉冲表示“F”(“悬空”)。原文:http://www....
Uart空闲起始数据传输奇偶校验
05-22
UART(通用异步收发传输器)是一种串行通信协议,常用于将数据从一个设备传输到另一个设备。UART 通常有几个参数可以设置,包括: 1. 空闲(Idle):这是传输线上未传输数据时的电平状态。通常为高电平。 2. 起始(Start):这是传输的第一个数据位的电平状态。通常为低电平。 3. 数据位(Data):这是传输的实际数据位数。通常为 7 或 8 。 4. 奇偶校验(Parity):这是一种数据校验方法,用于检测数据传输是否出错。奇偶校验分为奇校验和偶校验两种形式。 在 UART 通信中,发送方将数据按照以上参数进行编码后传输,接收方将数据解码后进行处理。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
写文章

热门文章

  • AD PCB 封装 快速放置等间距焊盘的方法 49809
  • PCB图上,在Keep-out layer 层上不能画线 ,一画线就跳到Drill Drawing层的解决办法 30680
  • STM32 ADC电路 连接 27947
  • ADC检测电压 端口二极管钳位保护电路之总结 27145
  • 一文看懂,用示波器查看串口UART的波形,什么是数据位、起始位、奇偶校验位 23963

分类专栏

  • PCB技术 4篇
  • 嵌入式编程 7篇
  • 电源电路 5篇
  • 电路设计 3篇
  • 华大单片机 2篇
  • STM8L 1篇

最新评论

  • AD PCB 封装 快速放置等间距焊盘的方法

    噢迈嘎: 图片看不了

  • ADC检测电压 端口二极管钳位保护电路之总结

    徐少2020: 原文请移步在此:http://bbs.elecfans.com/jishu_1113146_1_4.html

  • 一文看懂,用示波器查看串口UART的波形,什么是数据位、起始位、奇偶校验位

    wv112406: 要找到开始,自己定义通信头啊,保证数据中不会出现,这样就没问题了。读取到通信头就开始析数据

  • 一文看懂,用示波器查看串口UART的波形,什么是数据位、起始位、奇偶校验位

    EthanYhunt: 怎么找到0呢

  • 一文看懂,用示波器查看串口UART的波形,什么是数据位、起始位、奇偶校验位

    柒月_7: 每一帧数据会有间隔的,找到有规律的高电平间隔就可以了,间隔后第一个低电平即开始位

您愿意向朋友推荐“博客详情页”吗?

  • 强烈不推荐
  • 不推荐
  • 一般般
  • 推荐
  • 强烈推荐
提交

最新文章

  • 电容降压主要是用在直流稳压电源电路里
  • 电容降压整流电源电路
  • NBIOT模组-移远BC26 部分AT指令头文件定义
2019年9篇
2018年17篇

目录

目录

评论 14
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43元 前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值

聚圣源天才儿子腹黑娘亲梦见上学波多野结衣影音先锋通家之好沈海高速从哪里开始到哪里结束乔任梁肠脱肛照片襄樊公司起名网店字取名起名大全昕字字起名寓意小雪撑肿腿合不上深圳快餐加盟南京公司起名大全小男孩起什么名字好听的名字大全南二环二手房第99只萤火虫生物公司起名自媒体账号名字怎么起市委书记日记姓顾男孩子起名还真道给影视公司起名子情意绵绵楚楚可怜超能少女组浩起什么名好打字练习软件开店起什麽名为新生儿起名汽车起动电源排名在线轮盘轿车维修店起名淀粉肠小王子日销售额涨超10倍罗斯否认插足凯特王妃婚姻让美丽中国“从细节出发”清明节放假3天调休1天男孩疑遭霸凌 家长讨说法被踢出群国产伟哥去年销售近13亿网友建议重庆地铁不准乘客携带菜筐雅江山火三名扑火人员牺牲系谣言代拍被何赛飞拿着魔杖追着打月嫂回应掌掴婴儿是在赶虫子山西高速一大巴发生事故 已致13死高中生被打伤下体休学 邯郸通报李梦为奥运任务婉拒WNBA邀请19岁小伙救下5人后溺亡 多方发声王树国3次鞠躬告别西交大师生单亲妈妈陷入热恋 14岁儿子报警315晚会后胖东来又人满为患了倪萍分享减重40斤方法王楚钦登顶三项第一今日春分两大学生合买彩票中奖一人不认账张家界的山上“长”满了韩国人?周杰伦一审败诉网易房客欠租失踪 房东直发愁男子持台球杆殴打2名女店员被抓男子被猫抓伤后确诊“猫抓病”“重生之我在北大当嫡校长”槽头肉企业被曝光前生意红火男孩8年未见母亲被告知被遗忘恒大被罚41.75亿到底怎么缴网友洛杉矶偶遇贾玲杨倩无缘巴黎奥运张立群任西安交通大学校长黑马情侣提车了西双版纳热带植物园回应蜉蝣大爆发妈妈回应孩子在校撞护栏坠楼考生莫言也上北大硕士复试名单了韩国首次吊销离岗医生执照奥巴马现身唐宁街 黑色着装引猜测沈阳一轿车冲入人行道致3死2伤阿根廷将发行1万与2万面值的纸币外国人感慨凌晨的中国很安全男子被流浪猫绊倒 投喂者赔24万手机成瘾是影响睡眠质量重要因素春分“立蛋”成功率更高?胖东来员工每周单休无小长假“开封王婆”爆火:促成四五十对专家建议不必谈骨泥色变浙江一高校内汽车冲撞行人 多人受伤许家印被限制高消费

聚圣源 XML地图 TXT地图 虚拟主机 SEO 网站制作 网站优化