乘法器-------硬件设计

10 篇文章 2 订阅
订阅专栏

人们日常习惯的乘法是十进制,但计算机实现起来不方便。首先,需要记录9x9乘法表,每次相乘去表中找结果;其次,将竖式相加也不方便。

但二进制却十分方便,冯·诺伊曼在《关于ENDVAC的报告草案》中说“二进制可以极大简化乘法和除法运算。尤其是对于乘法,不再需要十进制乘法表,也不需要两轮的加法”。

二进制乘法运算过程
在这里插入图片描述
观察这个式子,可以发现我们并不需要乘法表,如果乘数位为0,就在中间过程中全补零,如果乘数位为1,就在中间过程补被乘数。

运算过程的进一步调整

按照上面那种计算的话,需要大量的空间来存储中间结果,还要用一个空间存储积。其实,不难发现,每个中间结果最后都加在乘积上,我们可以将中间结果保存在乘积中,每次用中间结果更新乘积,运算结束时,乘积里面放的就是正确的乘积。

上面说直接对乘积加上被乘数是不准确的,为了满足对齐要求,只需将被乘数左移。

在这里插入图片描述
这样,我们得到了一个适合硬件实现的乘法运算过程。

乘法的实现
实现结构

以4-bit数为例,(1000)2 + (1001)2 = (1001000)2

在这里插入图片描述
具体流程

初始化,将Multiplier = 1001,将Multiplicand的低4为设为1000,高4位补零,Product = 0.
检查乘数寄存器的最低位,如果为1,将被乘数寄存器加到乘积寄存器中,此时控制信号会给加法器一个信号,给乘积寄存器写信号,等到下一个上升沿到来时,会将运算的结果存到乘积寄存器中。如果为0,不管。同时给被乘数寄存器左移信号,给乘数寄存器右移信号,也是在下一个时钟上升沿起作用。
检测是否经历4次循环
最终的结果如下:

在这里插入图片描述
4位的过程对N位同样有效。

N位的流程图

在这里插入图片描述
乘法的优化1
观察上面N位乘法器的流程图,由于每次信号要等到一个时钟上升沿才起作用,所以1a、2、3过程各用一个周期。其实这三个过程可以并列执行,就降为一个周期了。

在这里插入图片描述
乘法的优化2(面积优化)
面积优化就是指减少不必要的硬件资源。对于CPU这样的集成电路来说,它的价格的一个重要因素就是集体管的数量,或者说芯片的面积。因此,在设计各个功能部件的时候,如何减少芯片的面积也是一个重要的方向。

在这里插入图片描述
观察上面这个图,我们可以发现如下可以优化的地方:

“被乘数寄存器”有8位宽,但其中有效位始终只有4位
“乘数寄存器”是4位宽,但其中有效数字每周期减少1位
“乘积寄存器”是8位宽,但初始时有效位只有4位且每周期增加1位
“加法器”是8位宽,但参与运算的实际有效位置只有4位

我们只需逐一改进这些地方,注意,每个部件某个功能去掉,在另一个部件应加上该功能。比如”被乘数寄存器“取消了左移功能,”乘积寄存器“就相应的添加了右移功能。

“被乘数寄存器”缩减为4位,且取消左移功能
取消“乘数寄存器”,乘数初始置于”乘积寄存器“低4位
”乘积寄存器“增加右移功能,乘积初始置于其中高4位,随着运算过程不断右移(最高位补0)
”加法器“缩减为4位,”乘积寄存器“只有高4位参与运算
优化后的图如下:

在这里插入图片描述
注:乘法寄存器实际应该是9位,以保存加法器的进位,但这里保持8位,以突出使用优化后的演变。

N位乘法器优化同理

在这里插入图片描述
转自:https://www.cnblogs.com/lfri/p/10046360.html

计算机组成与设计(六)—— 乘法器
dianshu1593的博客
11-30 1419
乘法的运算过程 人们日常习惯的乘法是十进制,但计算机实现起来不方便。首先,需要记录9x9乘法表,每次相乘去表中找结果;其次,将竖式相加也不方便。 但二进制却十分方便,冯·诺伊曼在《关于ENDVAC的报告草案》中说“二进制可以极大简化乘法和除法运算。尤其是对于乘法,不再需要十进制乘法表,也不需要两轮的加法”。 二进制乘法运算过程 观察这个式子,可以发现我们并不需要乘法表,如果乘...
计算机硬件实现乘法是什么,什么是硬件乘法器
weixin_34326484的博客
07-16 1168
硬件乘法器你听过吗?哈哈,小编也是最近才听过这个词的。下面将由学习啦小编带大家一起来学习学习下吧,希望对大家有所收获!什么是硬件乘法器硬件乘法器,其基础就是加法器结构,它已经是现代计算机中必不可少的一部分。[1] 乘法器的模型就是基于“移位和相加”的算法。在该算法中,乘法器中每一个比特位都会产生一个局部乘积。第一个局部乘积由乘法器的LSB产生,第二个乘积由乘法器的第二位产生,以此类推。如果相应的乘...
Verilog原码二位乘法器
05-05
Verilog原码二位乘法器,其中两个操作数位宽为5。文件中含解释文档,代码中含tb文件和详细注释。配合https://blog.csdn.net/qq_42334072/article/details/105928385食用更佳
使用位运算实现int32位 整数的加减乘除
weixin_30394669的博客
08-25 601
我觉得比较难想的是加法吧。 首先加法,脑海中脑补二进制加法,相同位相加,超过2 ,则进1,留0 那么用位运算怎么实现呢?其实理解了异或和与操作,就很容易想出来了。 我觉得异或操作和与操作完全就是实现加法的。 异或就是相同位相加最后留下的结果,而与就是相同位相加是否进1的结果。 异或:相同位 相同为0,不同为1。 与:相同位 都是1结果才是1,否则都是0。 这不就是二进制相加吗? 异或 与...
DSP程序优化方法
打印/传真嵌入式开发--张志龙的专栏
06-27 1737
1、选择合适的算法和数据结构 选择一种合适的数据结构很重要,如果在一堆随机存放的数中使用了大量的插入和删除指令,那使用链表要快得多。数组与指针语句具有十分密切的关系,一般来说,指针比较灵活简洁,而数组则比较直观,容易理解。对于大部分的编译器,使用指针比使用数组生成的代码更短,执行效率更高。 在许多种情况下,可以用指针运算代替数组索引,这样做常常能产生又快又短的代码。与数组索引相比,指针
如何高效实现矩阵乘?万文长字带你从CUDA初学者的角度入门
Paper weekly
11-27 412
©作者 |张译单位 |东北大学自然语言处理实验室来源|机器翻译学堂本文将从一个 CUDA初学者的角度来阐述如何优化一个形状较大的正方形乘正方形的 FP32 矩阵乘。矩阵乘作为目前神经网络计算中占比最大的一个部分,其快慢会显著影响神经网络的训练与推断所消耗的时间。虽然现在市面上已经有非常多的矩阵乘的高效实现——如基于 cpu 的 mkl、基于 arm 设备的 ncnn 与 emll、基于 ...
查表法乘法器verilog HDL设计代码及其测试文件
05-15
查找表乘法器就是将乘积放在存储器中,将操作数作为地址访问存储器,得到的输出结果就是乘法器的运算结果。这种乘法器的运算速度就等于所使用的存储器的速度,一般用于较小规模的乘法器
《计算机组成》学习笔记(1 - 1)电子计算机的兴起
Henry1991back的博客
04-02 636
《计算机组成》学习笔记(0 - 0)计算机组成章节简介参考文献: 1. 计算机组成 - 北京大学; 2. 《计算机组成与设计》。
计算机硬件实现乘法是什么,硬件乘法器是什么?
weixin_32171133的博客
07-16 669
硬件乘法器是现代计算机中必不可少的一部分,其基础是加法器结构。硬件乘法器,其基础就是加法器结构,它已经是现代计算机中必不可少的一部分。[1]乘法器的模型就是基于“移位和相加”的算法。在该算法中,乘法器中每一个比特位都会产生一个局部乘积。第一个局部乘积由乘法器的LSB产生,第二个乘积由乘法器的第二位产生,以此类推。如果相应的乘数比特位是1,那么局部乘积就是被乘数的值,如果相应的乘数比特位是0,那么局...
乘法在硬件设计中的实现
RISCV_SDU的博客
03-30 336
可以理解为,共需要进行4次乘法,每次进行一次乘法:被乘数×乘数[i],(i=0,1,2,3)在二进制中,如果乘数[i]为1,就将被乘数复制到合适的位置,如果乘数[i]是0,则将0放进合适的位置。被乘数所在寄存器进行左移位、被乘数所在寄存器初始为:1001,通过乘数所在寄存器进行右移位,每次都选择乘数[0]与乘数相乘,乘后将本次得到的积加到积寄存器中,然后将被乘数寄存器右移。以上讨论均不考虑符号位,其实对于有符号数来说,最简单的方法是记录两个数的符号,符号位相同为正,不同为负,所以符号位本身并不参与计算。
计算机组成原理课程设计-阵列乘法器设计实现
07-19
计算机组成原理课程设计-阵列乘法器设计实现 带报告与代码
硬件描述语言设计浮点乘法器(原码一位乘法)
07-10
硬件描述语言设计浮点乘法器(原码一位乘法) 课程设计报告。 很全面的
课程设计报告——用硬件描述语言设计浮点乘法器(原码一位乘法)
06-01
完完整整的课程设计报告——用硬件描述语言设计浮点乘法器(原码一位乘法),仅去除了作者和指导老师名字
32位快速乘法器实现
09-08
32位快速乘法器实现,里面都是学长们的总结,大家毕业设计,生产实习可以用到
基于G3-PLC的RS译码器的设计实现
10-16
为了解决译码过程中有限域乘法器存在的连线复杂、运算速度慢等问题,设计了一种查表运算。采用该查表运算可以快速实现有限域的乘法运算,并且可以简化BerlekampMassey (BM)迭代过程中的求逆运算,使得用传统的BM...
计算机组成原理-阵列乘法器设计.doc
05-28
5 2.2.1 一位全加器的设计实现 6 2.2.2 4位输入端加法器的设计实现 7 2.2.3 阵列乘法器设计实现 10 第3章 硬件测试 13 3.1 编程下载 13 3.2 硬件测试及结果分析 13 参考文献 15 附 录(电路原理图) 16 第1...
STM32-based calculator(基于STM32的计算器).zip
04-07
这些内核提供单周期乘法、硬件除法、DSP指令集、浮点单元(FPU)等功能,以满足不同应用场景中的计算密集型任务需求。处理器架构遵循哈佛结构,具有独立的指令总线和数据总线,确保高效的代码执行和数据访问。 丰富...
论文研究-基于ARMv4T架构指令集的乘法器设计.pdf
07-22
针对硬件IP核的速度和面积两大性能指标,提出了基于可变执行周期的多周期乘法器设计思想,设计出一款适用于32位嵌入式微处理器的乘法器模块。该乘法器兼容ARMv4T架构的所有乘法指令,同时引入字节判断机制,可以根据...
使用Radix-4和Radix-8编码技术的16位展位乘法器的比较分析-研究论文
05-20
随着技术的进步,许多研究人员已经尝试并且正在尝试设计乘法器,以实现高速,低功耗,布局规则并因此减小面积。 展位乘法器可用于带符号和无符号数字的运算。 建议的radix-4和radix-8展位乘数在部分乘积的数量,...
gd32f30x-hd
最新发布
04-26
1. 高性能:采用Cortex-M4内核,具有高达1.25 DMIPS/MHz的计算能力,支持单周期乘法和硬件除法指令,适用于高性能应用场景。 2. 丰富的外设:包括多个通用定时器、高级定时器、通用串行接口、SPI、I2C、USART等,...

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
写文章

热门文章

  • 仲裁器设计(二)-- Round Robin Arbiter 轮询调度算法 18269
  • VCS门级仿真系列文章之sdf文件和$sdf_annotate反标 15695
  • DFT技术介绍和所用工具 14749
  • 时钟定义篇 - 附CREATE_GENERATED_CLOCK花式定义方法 13544
  • SAR ADC基本原理学习 13008

分类专栏

  • 算法 10篇
  • IC设计方法 19篇
  • 工具 4篇
  • testbench 1篇
  • 脚本 4篇
  • STA 4篇
  • 笔记 2篇
  • DFT_SCAN 4篇
  • ADC 1篇

最新评论

  • 数模混合仿真实例(数字verilog作为顶层)VCS+Xa; 跑XA自带的demo存在的问题

    enmouhuadou: 工具版本问题

  • 数模混合仿真实例(数字verilog作为顶层)VCS+Xa; 跑XA自带的demo存在的问题

    这题我不会 你别问我: 您好,我在用Verdi导入fsdb文件时,报fsdb文件是更高的版本,无法load进去,请问您又遇到类似的问题嘛?

  • 仲裁器设计(二)-- Round Robin Arbiter 轮询调度算法

    gp2067: 按每个BIT拆开看,用的是其它的位,不是Combloop

  • I2C读写时序简述

    Locke Chen: 错了,1设置的是读,0设置的是写

  • 时域采样,频域为什么周期延拓了

    我不知道咋玩10A猎人: 十分感谢!通俗易懂

大家在看

  • 软考高级项目管理师计算题型汇总分析(一) 64
  • 【树 - 哈夫曼树(Huffman Tree)】
  • 解锁 JavaScript ES6:函数与对象的高级扩展功能 2884
  • 初讲解运算符重载 21
  • linux---进程管理

最新文章

  • BLE协议栈 – 物理层(Physical Layer)
  • 传递函数硬件化
  • 硬件设计中数据运算相关处理方法
2024年3篇
2023年1篇
2022年6篇
2021年28篇
2020年15篇

目录

目录

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43元 前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值

聚圣源李姓起名拼音www5252藏品2福建少儿频道生个男孩 起名字姓王 霸气点的资源再生 公司起名百香果女孩案凶手被执行死刑姓贺的女宝宝起名大全集爱情悠悠药草香电视剧全集免费观看朱元璋胡军版五金店起名字大全给猫咪起名字可爱的名字五虎将后传3.04下载王姓女孩有涵养的起名鼠年张姓男孩起名大全取起名软件排行求生之路2破解版斗破苍穹三年之约全集免费完整版网店起什么名字好听啊瑞博官方网站甜品公司名字怎么起戴姓女孩取名起名大全起名故事装修起什么名称好起名测五行查询武侠小说起名优秀党务工作者个人先进事迹材料早入簧门姓氏标男孩起名水字旁是朋友还是男友泰剧在线观看淀粉肠小王子日销售额涨超10倍罗斯否认插足凯特王妃婚姻让美丽中国“从细节出发”清明节放假3天调休1天男孩疑遭霸凌 家长讨说法被踢出群国产伟哥去年销售近13亿网友建议重庆地铁不准乘客携带菜筐雅江山火三名扑火人员牺牲系谣言代拍被何赛飞拿着魔杖追着打月嫂回应掌掴婴儿是在赶虫子山西高速一大巴发生事故 已致13死高中生被打伤下体休学 邯郸通报李梦为奥运任务婉拒WNBA邀请19岁小伙救下5人后溺亡 多方发声王树国3次鞠躬告别西交大师生单亲妈妈陷入热恋 14岁儿子报警315晚会后胖东来又人满为患了倪萍分享减重40斤方法王楚钦登顶三项第一今日春分两大学生合买彩票中奖一人不认账张家界的山上“长”满了韩国人?周杰伦一审败诉网易房客欠租失踪 房东直发愁男子持台球杆殴打2名女店员被抓男子被猫抓伤后确诊“猫抓病”“重生之我在北大当嫡校长”槽头肉企业被曝光前生意红火男孩8年未见母亲被告知被遗忘恒大被罚41.75亿到底怎么缴网友洛杉矶偶遇贾玲杨倩无缘巴黎奥运张立群任西安交通大学校长黑马情侣提车了西双版纳热带植物园回应蜉蝣大爆发妈妈回应孩子在校撞护栏坠楼考生莫言也上北大硕士复试名单了韩国首次吊销离岗医生执照奥巴马现身唐宁街 黑色着装引猜测沈阳一轿车冲入人行道致3死2伤阿根廷将发行1万与2万面值的纸币外国人感慨凌晨的中国很安全男子被流浪猫绊倒 投喂者赔24万手机成瘾是影响睡眠质量重要因素春分“立蛋”成功率更高?胖东来员工每周单休无小长假“开封王婆”爆火:促成四五十对专家建议不必谈骨泥色变浙江一高校内汽车冲撞行人 多人受伤许家印被限制高消费

聚圣源 XML地图 TXT地图 虚拟主机 SEO 网站制作 网站优化